hosted by
publicationslist.org
    

Piet Engelke


engelkep (ät) gmx.de

Journal articles

2010
A Czutro, I Polian, M Lewis, P Engelke, S M Reddy, B Becker (2010)  Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability Analysis   International Journal of Parallel Programming 38: 3-4. 185-202 June  
Abstract: Efficient utilization of the inherent parallelism of multi-core architectures is a grand challenge in the field of electronic design automation (EDA). One EDA algorithm associated with a high computational cost is automatic test pattern generation (ATPG). We present the ATPG tool TIGUAN based on a thread-parallel SAT solver. Due to a tight integration of the SAT engine into the ATPG algorithm and a carefully chosen mix of various optimization techniques, multi-million-gate industrial circuits are handled without aborts. TIGUAN supports both conventional single-stuck-at faults and sophisticated conditional multiple stuck-at faults which allows to generate patterns for non-standard fault models. We demonstrate how TIGUAN can be combined with conventional structural ATPG to extract full benefit of the intrinsic strengths of both approaches.
Notes:
2009
P Engelke, B Becker, M Renovell, J Schlöffel, B Braitling, I Polian (2009)  SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges.   ACM Transactions on Design Automation of Electronic Systems 14: 4. 56:1-56:21 August  
Abstract: A high-performance resistive bridging fault simulator SUPERB (Simulator Utilizing Parallel Evaluation of Resistive Bridges) is proposed. It is based on fault sectioning in combination with parallel-pattern or parallel-fault multiple-stuck-at simulation. It outperforms a conventional interval-based resistive bridging fault simulator by three orders of magnitude while delivering identical results. Further competing tools are outperformed by several orders of magnitude. Industrial-size circuits, including a multi-million-gates design, could be simulated with runtimes within an order of magnitude of the runtimes for pattern-parallel stuck-at fault simulation.
Notes:
2008
P Engelke, I Polian, M Renovell, S Kundu, B Seshadri, B Becker (2008)  On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing   IEEE Transactions on CAD of Integrated Circuits and Systems 27: 2. 327-338 February  
Abstract: Test application at reduced power supply voltage (low-voltage testing) or reduced temperature (low-temperature testing) can improve the defect coverage of a test set, in particular of resistive short defects. Using a probabilistic model of these defects, we quantify the coverage impact of low-voltage and low-temperature testing for different voltages and temperatures. When considering the coverage increase, we differentiate between defects missed by the test set at nominal conditions and undetectable defects (flaws) detected at non-nominal conditions. In our analysis, the performance degradation of the device caused by lower power supply voltage is accounted for. Furthermore, we describe a situation in which defects detected by conventional testing are missed by low-voltage testing and quantify the resulting coverage loss. Experimental results suggest that test quality is improved even if no cost increase is allowed. If multiple test applications are acceptable, a combination of low-voltage and low-temperature turns out to provide the best coverage of both hard defects and flaws.
Notes:
2006
Y Tang, H J Wunderlich, P Engelke, I Polian, B Becker, J Schlöffel, F Hapke, M Wittke (2006)  X-Masking During Logic BIST and Its Impact on Defect Coverage   IEEE Transactions on VLSI Systems 14: 2. 193-202 February  
Abstract: We present a technique for making a circuit ready for Logic BIST by masking unknown values at its outputs. In order to keep the silicon area cost low, some known bits in output responses are also allowed to be masked. These bits are selected based on a stuck-at n-detection based metric, such that the impact of masking on the defect coverage is minimal. An analysis based on a probabilistic model for resistive short defects indicates that the coverage loss for unmodeled defects is negligible for relatively low values of n.
Notes:
P Engelke, I Polian, M Renovell, B Becker (2006)  Automatic Test Pattern Generation for Resistive Bridging Faults   Journal of Electronic Testing : Theory and Applications 22: 1. 61-69 February  
Abstract: An ATPG for resistive bridging faults in combinational or full-scan circuits is proposed. It combines the advantages of section-based generation and interval-based simulation. In contrast to the solutions introduced so far, it can handle static effects of arbitrary non-feedback bridges between two nodes, including ones detectable at higher bridge resistance and undetectable at lower resistance, and faults requiring more than one vector for detection. The developed tool is applied to ISCAS circuits, and a higher efficiency compared with other resistive bridging fault as well as stuck-at ATPG is reported. Information required for accurate resistive bridging fault simulation is obtained as a by-product.
Notes:
P Engelke, I Polian, M Renovell, B Becker (2006)  Simulating Resistive Bridging and Stuck-At Faults   IEEE Transactions on CAD of Integrated Circuits and Systems 25: 10. 2181-2192 October  
Abstract: We present a simulator for resistive bridging and stuck-at faults. In contrast to earlier work, it is based on electrical equations rather than table look-up, thus exposing more flexibility. For the first time, simulation of sequential circuits is dealt with; interaction of fault effects in current time frame and earlier timeframes is elaborated on for different bridge resistances. Experimental results are given for resistive bridging and stuck-at faults in combinational and sequential circuits. Different definitions of fault coverage are listed and quantitative results with respect to all these definitions are given for the first time.
Notes:
2005
I Polian, P Engelke, M Renovell, B Becker (2005)  Modeling feedback bridging faults with non-zero resistance   Journal of Electronic Testing : Theory and Applications 21: 1. 57-69 February  
Abstract: We study the behavior of feedback bridging faults with non-zero bridge resistance in both combinational and sequential circuits. We demonstrate that a test vector may detect the fault, not detect the fault or lead to oscillation, depending on bridge resistance. Moreover, the resistance intervals in which a particular behavior is observed are not necessarily contiguous. Even loops going through a gate with controlling values on its side inputs (which we call disabled loops) expose non-trivial behavior. We outline the multiple strengths problem which arises due to the fact that a critical bridge resistance depends on the strengths of the signals driving the bridge, which in turn are functions of the number of the on-transistors, these again depending on the bridge resistance, making such a fault very hard to resolve. For sequential circuits, we describe additional difficulties caused by the need to account for implications on bridge behavior, which have originated in the previous time frames. We conclude that the complexity of resistive feedback bridging fault simulation accurate enough to resolve such situations will probably be prohibitively high and propose possible simplifying assumptions. We present simulation results for ISCAS benchmarks using these assumptions with and without taking oscillation into account.
Notes:

Conference papers

2009
A Czutro, I Polian, P Engelke, S Reddy, B Becker (2009)  Dynamic compaction in SAT-based ATPG   In: IEEE Asian Test Symposium 187 - 190  
Abstract: SAT-based automatic test pattern generation has several advantages compared to conventional structural procedures, yet often yields too large test sets. We present a dynamic compaction procedure for SAT-based ATPG which utilizes internal data structures of the SAT solver to extract essential fault detection conditions and to generate patterns which cover multiple faults. We complement this technique by a state-of-the-art forward-looking reverse-order simulation procedure. Experimental results obtained for an industrial benchmark circuit suite show that the new method outperforms earlier static approaches by approximately 23%.
Notes:
2008
2007
S Spinner, J Jiang, I Polian, P Engelke, B Becker (2007)  Simulating Open-Via Defects   In: IEEE Asian Test Symposium 265-270  
Abstract: Open-via defects are a major systematic failure mechanism in nanoscale manufacturing processes. We present a flow for simulating open-via defects. Electrical parameters are extracted from the layout and technology data and represented in a way which allows efficient simulation on gate level. The simulator takes oscillation caused by open-via defects into account and quantifies its impact on defect coverage. The flow can be employed for manufacturing test as well as for defect diagnosis.
Notes:
2006
P Engelke, I Polian, H Manhaeve, M Renovell, B Becker (2006)  Delta-IDDQ Testing of Resistive Short Defects   In: IEEE Asian Test Symposium 63-68  
Abstract: This paper addresses the efficiency of IDDQ and more in particular Delta-IDDQ testing when using a realistic short defect model that properly considers the relation between the resistance of the short and its detectability. The results clearly show that the Delta-IDDQ approach covers a large number of resistive shorts missed by conventional logic testing, requiring only a relative small vector set. In addition a significant number of defects which are proven to be undetectable by logic testing but may deteriorate and result in reliability failures are detected. The Delta-IDDQ threshold and thus the equipment sensitivity is shown to be critical for the test quality. Furthermore, the validity of the traditional IDDQ fault models when considering resistive short defects is found to be limited. For instance, the use of the fault-free next-state function for sequential IDDQ fault simulation is shown to result in a wrong classification of some resistive short defects. This is the first systematic study of IDDQ testing of resistive short defects. The impact of the threshold on the defect coverage is quantified for the first time. Although the simulation results are based upon an older technology, the results and methodology are as well valid for state-of-the-art and NanoTechnologies.
Notes:
M Renovell, M Comte, I Polian, P Engelke, B Becker (2006)  A Specific ATPG technique for Resistive Open with Sequence Recursive Dependency   In: IEEE Asian Test Symposium 273-278  
Abstract: This paper analyzes the electrical behavior of resistive opens as a function of their unpredictable resistance. It is demonstrated that the electrical behavior depends on the value of the open resistance. It is also shown that detection of the open by a given vector Ti recursively depends on all the vectors that have been applied to the circuit before Ti. An electrical analysis of this recursive effect is presented and a specific ATPG strategy is proposed.
Notes:
2005
S Kundu, P Engelke, I Polian, B Becker (2005)  On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing   In: IEEE Asian Test Symposium 266-269  
Abstract: Resistive defects are gaining importance in very-deep-submicrontechnologies, but their detection conditions are not trivial. Testapplication can be performed under reduced temperature and/orvoltage in order to improve detection of these defects. This is thefirst analytical study of resistive bridge defect coverage of CMOSICs under low-temperature and mixed low-temperature, low-voltageconditions. We extend a resistive bridging fault model in order toaccount for temperature-induced changes in detection conditions. Weaccount for changes in both the parameters of transistors involvedin the bridge and the resistance of the short defect itself. Using aresistive bridging fault simulator, we determine fault coverage forlow-temperature testing and compare it to the numbers obtained atnominal conditions. We also quantify the coverage of flaws,i.e. defects that are redundant at nominal conditions but coulddeteriorate and become early-life failures. Finally, we compare ourresults to the case of low-voltage testing and comment on combination of these two techniques.
Notes:
I Polian, S Kundu, J M Gallière, P Engelke, M Renovell, B Becker (2005)  Resistive Bridge Fault Model Evolution From Conventional to Ultra Deep Submicron Technologies   In: IEEE VLSI Test Symposium 343-348  
Abstract: We present three resistive bridging fault models valid for different CMOS technologies. The models are partitioned into a general framework (which is shared by all three models) and a technology-specific part. The first model is based on Shockley equations and is valid for conventional but not deep submicron CMOS. The second model is obtained by fitting SPICE data. The third resistive bridging fault model uses Berkeley Predictive Technology Model and BSIM4; it is valid for CMOS technologies with feature sizes of 90nm and below, accurately describing non-trivial electrical behavior in that technologies. Experimental results for ISCAS circuits show that the test patterns obtained for the Shockley model are still valid for the Fitted model, but lead to coverage loss under the Predictive model.
Notes:
2004
P Engelke, I Polian, M Renovell, B Becker (2004)  Automatic test pattern generation for resistive bridging faults   In: IEEE European Test Symposium 160-165  
Abstract: An ATPG for resistive bridging faults is proposed that combines the advantages of section-based generation and interval-based simulation. In contrast to the solutions introduced so far, it can handle arbitrary non-feedback bridges between two nodes, including ones detectable at higher bridge resistance and undetectable at lower resistance, and faults requiring more than one vector for detection.
Notes:
Y Tang, H J Wunderlich, H Vranken, F Hapke, M Wittke, P Engelke, I Polian, B Becker (2004)  X-masking during logic BIST and its impact on defect coverage   In: IEEE International Test Conference 442-451  
Abstract: We present a technique for making a circuit ready for Logic BIST by masking unknown values at its outputs. In order to keep the silicon area cost low, some known bits in output responses are also allowed to be masked. These bits are selected based on a stuck-at n-detection based metric, such that the impact of masking on the defect coverage is minimal. An analysis based on a probabilistic model for resistive short defects indicates that the coverage loss for unmodeled defects is negligible for relatively low values of n.
Notes:
P Engelke, I Polian, M Renovell, B Seshadri, B Becker (2004)  The Pros and Cons of Very-Low-Voltage Testing : An Analysis Based on Resistive Short Defects   In: IEEE VLSI Test Symposium 171-178  
Abstract: Test application at reduced power supply voltage (or VLV testing) is a cost-effective way to increase the defect coverage of a test set. Resistive short defects are a major contributor to this coverage increase. Using a probabilistic model of these defects, we quantify the coverage impact of VLV testing for different voltages. When considering the coverage increase, we differentiate between defects missed by the test set at nominal voltage and undetectable defects (flaws) detected by VLV testing. In our analysis, the performance degradation of the device caused by lower power supply voltage is accounted for. Furthermore, we describe a situation in which defects detected by conventional testing are missed by VLV testing and quantify the resulting coverage loss. We report the numbers on the increased defect coverage, flaw coverage, and coverage loss for ISCAS circuits.
Notes:
2003
I Polian, P Engelke, M Renovell, B Becker (2003)  Modelling Feedback Bridging Faults With Non-Zero Resistance   In: IEEE European Test Workshop 91-96  
Abstract: We study the behavior of feedback bridging faults with non-zero bridge resistance in both combinational and sequential circuits. We demonstrate that a test vector may detect the fault, not detect the fault or lead to oscillation, depending on bridge resistance. Moreover, the resistance intervals in which a particular behavior is observed are not necessarily contiguous. Even loops going through a gate with controlling values on its side inputs (which we call disabled loops) expose non-trivial behavior. We outline the multiple strengths problem which arises due to the fact that a critical bridge resistance depends on the strengths of the signals driving the bridge, which in turn are functions of the number of the on-transistors, these again depending on the bridge resistance, making such a fault very hard to resolve. For sequential circuits, we describe additional difficulties caused by the need to account for implications on bridge behavior, which have originated in the previous time frames. We conclude that the complexity of resistive feedback bridging fault simulation accurate enough to resolve such situations will probably be prohibitively high and propose possible simplifying assumptions. We present simulation results for ISCAS benchmarks using these assumptions with and without taking oscillation into account.
Notes:
2002
I Polian, P Engelke, B Becker (2002)  Efficient Bridging Fault Simulation of Sequential Circuits Based on Multi-Valued Logics   In: IEEE International Symposium on Multiple-Valued Logic 216-222  
Abstract: We present the concept of a multi-valued logic simulator for bridging faults in sequential circuits. Different models for the handling of intermediate values in flip-flops on the digital design level can be integrated and result in an Expected realistic behavior area for bridging faults. Several experimental results are given to underline properties and advantages of the simulation technique.
Notes:
2000

Workshop Contributions

2009
2008
2006
2005
2004
2003
2000

PhD theses

2009
Powered by PublicationsList.org.